Vom Overload-Stromsensor zur
elektronischen Sicherung
Praxis: Teil II

 


Elektronische Sicherung

Das Funktionsprinzip des Stromsensor ist im Theorieteil im Kapitel "Einstellbare Highside-Stromsensorschaltung" mit Bild 6 beschrieben. Einziger Unterschied hier: Die beiden Eingänge des Operationsverstärkers IC:A, der ebenfalls als Komparator arbeitet, sind vertauscht. Im Betriebszustand hat IC:A am Ausgang Pin 6 HIGH-Pegel, also beinahe die Spannung von +Ue und bei Überlastung LOW-Pegel, also beinahe GND. Dieser LOW-Pegel öffnet mit Hilfe des RS-Flipflop den Relaiskontankt. Es fliesst kein Strom mehr zum äusseren Lastkreis zwischen +Ua und GND und die Spannung über dem Shuntwderstand Rs (Parallelschaltung der beiden Rs'-Widerstände) beträgt 0 VDC.

Damit ist die Spannung am nichtinvertierenden Eingang (Pin 3) erneut höher als die am invertierenden Eingang (Pin 2), wodurch der Ausgang (Pin 6) wieder auf HIGH liegt. Dies hat keinen Einfluss auf das Relais, weil das RS-Flipflop, bestehend aus den CMOS-NAND-Gattern IC:B1 und IC:B2, den Ausschaltzustand speichert. Erst die Betätigung der Taste EIN setzt mit seinem LOW-Pegel das RS-Flipflop erneut und das Relais schaltet den Kontakt ein. Ist die externe Überlast zwischen +Ua und GND jedoch nicht beseitigt, erfolgt sogleich wieder die Ausschaltung des Relaiskontaktes. Dazu weiter unten mehr in der Funktion von Verzögerung und Trägheit.

Die Spannung am Ausgang von IC:A (Pin 6) kann +Ue und GND nicht erreichen, weil absichtlich kein spezieller Rail-to-Rail-Operationsverstärker zum Einsatz kommt. Dies dient dem Zweck einer möglichst hohen Produkte-Unabhängigkeit. Man erkennt dies in Bild 1 unten links in der zweiten Textzeile "IC:A". Dass die Eingänge von IC:A trotzdem bis zur positiven Betriebsspannung funktionsfähig sind (Common-Mode-Bereich), ist schaltungstechnisch bedingt. Bipolare Operationsverstärker (BJT) haben eine NPN-Eingangsstufe und BiFET-Operationsverstärker eine P-Kanal-JFET-Eingangsstufe. So genannte Single-Supply-Operationsverstärker eignen sich nicht, dafür sind diese bis auf GND einsetzbar. Typische Vertreter sind LM358, LM324 (BJT) und TLC271/72/74 (LinCMOS). LinCMOS-Operationsverstärker erlauben in der Regel eine maximale Betriebsspannung von 15 VDC.

Stromsensor: Wenn der Strom von +Ue via Rs nach +Ua den Wert von 10 A überschreitet, wird die Spannung über Rs grösser als 110 mV. Damit dieser Zustand die elektronische Sicherung auslöst und den Relaiskontakt öffnet, muss Trimmpot P1 auf 0.55 k-Ohm eingestellt sein, damit der konstante Strom von 0.2 mA an P1 eine Spannung von exakt 110 mV erzeugt. Der P1-Einstellbereich geht von 0 bis 200 mV.

Konstant-Stromquelle: Der konstante Strom von 0.2 mA resultiert aus der konstanten LED-Spannung von 1.7 V (rote LED) bei einem Strom von etwa 1 mA, minus der Basis-Emitter-Spannung von T1 von 0.7 V und dividiert durch R2. Sehr präzise sind diese Spannungen nicht, doch für den Zweck hier genügt es. Der LED-Strom von etwa 1 mA, begrenzt durch R1, ist um ein Vielfaches grösser als der T1-Basisstrom. Daraus resultiert, dass der LED-Strom nicht nennenswert vom T1-Basisstrom beeinflusst wird. Mit einem BC550C (T1) im Einsatz resultiert ein T1-Basisstrom von etwa 3 µA. Beim Kollektor- bzw. Emitterstrom von 0.2 mA ergibt dies eine Stromverstärkung von fast 700. Es muss also nicht zwingend ein BC550C sein. Man kann ebenso den BC550A oder praktisch jeden Kleinsignal-NPN-Transistor einsetzen, weil eine Stromverstärkung von etwa 100 ausreicht. Die maximal zulässige Kollektor-Emitterspannung Uce0 muss grösser sein als +Ue. Beim BC550_oder_BC547 sind es 45 V. Die Temperaturdrift wird weitgehend durch LED und und T1 kompensiert, da beide den selben Wert aufweisen von etwa -2 mV/K. Die Eigenerwärmung ist wegen der sehr geringen Verlustleistung bedeutungslos. Mehr zu dieser Thematik liest man im Elektronik-Minikurs:

Funktionsweise der Schaltung: Wird die Schaltung in Betrieb gesetzt, ist C1 zunächst entladen. Sofort startet die Ladung von C1 über R3 und R4. Im noch entladenen Zustand bis zu einer gewissen Spannung von ungefähr Uz/2 (z = Z-Diode ZD) erzeugt C1 an Pin 1 des RS-Flipflop, bestehend aus den beiden NAND-Gattern IC:B1 und IC:B2, ein LOW-Pegel. Dadurch ist das RS-Flipflop zurückgesetzt. Der Relaiskontankt ist offen. Diese Inbetriebsetzung der Schaltung löst einen stabilen Autoreset aus. An Pin 3 von IC:B1 liegt ein HIGH-Pegel (Uz = +12V), an Pin 11 von IC:B3 und am Gate von T2 (N-Kanal-MOSFET) ist es ein LOW-Pegel. T2 sperrt und der Relaiskontakt bleibt offen. Erst die Betätigung der EIN-Taste setzt das RS-Flipflop, sofern C1 durch R3 und R4 geladen ist und an Pin 1 von IC:B1 ein HIGH-Pegel anliegt. Pin 3 von IC:B1 schaltet auf LOW, Pin 11 von IC:B3 auf HIGH, der N-Kanal-MOSFET T2 schaltet das Relais ein und an +Ua steht die Betriebsspannung für die folgende Anwendung zur Verfügung. +Ua hat praktisch den Wert von +Ue, weil Rs mit 11 m-Ohm (beide Widerstände Rs' parallel) sehr niederohmig ist. Bei 10 A beträgt der Spannungsabfall über Rs 110 mV. Ist das zuviel, muss man Rs reduzieren und P1 entsprechend anpassen. Grundsätzlich darf man Rs sehr niederohmig, bis in den unteren m-Ohm-Bereich, wählen. Die Einstellung von P1 muss dann die DC-Offsetspannung von IC:A mitberücksichtigen. Die Temperaturdrift der DC-Offsetspannung ist eher unkritisch, weil dieser Wert bei den genannten Operationsverstärkern im unteren 10µV/K-Bereich liegt. Dazu kommt, man hat es hier nicht mit präziser Messtechnik zu tun.

Sicherungs-Funktion: Bei Überlast zwischen +Ua und GND kippt der Ausgang (Pin 6) von IC:A von HIGH (beinahe +Ue) auf LOW (beinahe GND), C1 wird durch R5, P2 und D1 schnell entladen und das RS-Flipflop wird zurückgesetzt. An Pin 3 von IC:B1 liegt jetzt HIGH und damit an Pin 11 von IC:B3 LOW. T2 öffnet und damit ebenso der Relaiskontakt.

Nach der schnellen Entladung von C1 durch R5, P2 und D1 (Trägheits-Funktion) zum Pin 6 des IC:A bis zu dem Spannungszustand wo D1 nicht mehr leitet, entladet sich C1 über R4 und R3 deutlich langsamer aber sicher weiter. Dies ergibt eine zusätzliche Sicherheit, dass die elektronische Sicherung ausgeschaltet bleibt, bis zur erneuten Betätigung der EIN-Taste.

Schädliche Spielerei unmöglich: C1 hat eine relativ grosse Ladezeit von etwa drei Sekunden und eine kurze Entladezeit von maximal 0.2 s. Diese einstellbar mit P2. Diese relativ grosse Ladezeit verhindert, dass das Relais flattert, wenn bei Überlast oder Kurzschluss ständig auf die EIN-Taste gedrückt wird. Bei der vorliegenden Dimensionierung des C1-R3-R4-R5-P2-D1-Netzwerkes taktet die Elektronik das Relais alle drei Sekunden, wenn man die EIN-Taste gedrückt hält, und schaltet das Relais während maximal 200 Millisekunden (maximale Trägheit) ein. Der Tastgrad liegt bei 1/15. Der Kontaktabbrand bei solcher Spielerei ist entsprechend gering und die überlastete oder kurzschliessende Schaltung, angeschlossen zwischen +Ua und GND, wird geschont, falls sie nicht bereits defekt ist. Man kann den Tastgrad erhöhen, in dem man R4 erhöht, wobei sich damit die Ladezeit von C1 verlängert.

Anpassungsfähig: Anders formuliert: Wenn wegen Überlast oder Kurzschluss der Relaiskontakt öffnet, kann man frühestens in drei Sekunden die elektronische Sicherung mit der EIN-Taste wieder aktivieren. Damit ist die VERZÖGERUNG in Bild 1 gemeint. Eine Überlastung oder ein Kurzschluss muss maximal 200 Millisekunden dauern bis die elektronische Sicherung ausgelöst wird. Dies ist mit TRÄGHEIT bezeichnet. Die VERZÖGERUNG kann man durch die Wahl von R4 ändern und die TRÄGHEIT ist einstellbar zwischen 10 ms (flink) und 200 ms (träge) mit P2. Weil R4 ziemlich hochohmig ist, empfiehlt sich für C1 ein Tantalelko oder ein Keramik-Kondensator (Kerko).

R8 und ZD stabilisieren die Betriebsspannung von IC:B auf +12 VDC. Eine Z-Diode genügt für diesen Zweck anstelle eines Spannungsreglers. Mit D2 schlägt man zwei Fliegen auf einen Schlag. Einerseits verhindert man mit D2, dass die Spannung an Pin 1 beim IC:B1 zu hoch werden kann. Sie wird auf etwa 12.7 V begrenzt, wenn der Ausgang von IC:A auf HIGH (beinahe 24V) liegt und deshalb ein Strom fliesst via R3 und D2 zur Z-Diode ZD. Dieser Strom Ix liegt bei knapp 1 mA. Anderseits ist damit auch gleich die Ladezeit von C1 durch R4 stabilisiert und daher unabhängig von der Eingangsspannung +Ue und damit auch von der Ausgangsspannung des IC:A an Pin 6.

Entstörung des RS-Flipflop: Wozu R7 und C2? Damit wird das RS-Flipflop künstlich verlangsamt. Es werden Störimpulse mit einer LOW-Pegelflanke von mindestens 100 Mikrosekunden Dauer an die RS-Flipflop-Eingänge benötigt, damit das RS-Flipflop unerwünscht schalten kann. Dies ist selbst dann praktisch unmöglich, wenn z.B. die 230VAC-Netzspannung sehr gestört ist, denn die Störung muss erst den Gleichrichter und den Ladeelko vor der Betriebsspannung +Ue passieren. Dies ist allerdings leicht möglich für sehr steilflankige Impulstransienten im 10- bis 100-Nanosekundenbereich, jedoch nicht mehr bei 10 Mikrosekunden und höher. Sehr steilflankige Impulstransienten, gelangen ungehindert durch das Netzteil zur Schaltung (parasitäre Koppelkapazitäten), u.a. auch weil die Ladeelkos im Netzteil für diese Transienten als parasitäre Induktivitäten agieren und nicht als Kondensatoren. Zu dieser Thematik gibt es den speziellen Elektronik-Minikurs:

Schmelzsicherung SI am Eingang: Auf SI kann man verzichten, wenn die Schaltung an ein 24VDC-Netzteil mit elektronischer Strombegrenzung angeschlossen wird. Erfolgt der Anschluss an den Ausgang einer Kombination aus Trafo, Gleichrichter und Lade-Elko, braucht es SI nicht, wenn der Primärkreis des Trafo mit einer Schmelzsicherung korrekt auf den AC-Primär-Nennstrom abgesichert ist. Wird die Schaltung von einem Akku betrieben, darf man auf keinen Fall auf SI verzichten! Wenn die Schaltung aus irgend einem Grund mit einem Dauerkurzschluss nicht mehr funktioniert, muss die Schmelzsicherung sicher reagieren und den Stromkreis unterbrechen, sonst besteht Brandgefahr! Generell empfiehlt sich eine träge 16A-Sicherung für die vorliegende Schaltung mit einem Maximalstrom von 10 A. Man ist frei höhere oder niedrigere Stromgrenzwerte zu realisieren durch entsprechendes Umdimensionieren der Komponenten.

Die Relaisschaltung: Der Relaisvorwiderstand R9 ist optional. Er wird nur dann benötigt, wenn die Spulennennspannung von der Betriebsspannung +Ue unzulässig nach unten abweicht. Diode D3 arbeitet als Freilaufdiode. Sie schützt den N-Kanal-MOSFET T2 vor einer hohen Selbstinduktionsspannung im Augenblick der Relaisabschaltung. Das vorgeschlagene Relais mit einer Spulenleistung von 0.5 W und einem maximalen Schaltstrom 16 A ist bei Distrelec als neues Produkt (Januar 2018) erhältlich. Dazu das geeignete Datenblatt.

T2 ist ein N-Kanal-MOSFET des bekannten Typs BS170. MOSFETs sind am Gate spannungs- und nicht stromgesteuert wie es bipolare Transistoren (BJTs) mit dem Basisstrom sind. Dies hat den Vorteil, dass man beliebige Lasten (hier ein oder sogar mehrere Relais) einsetzen kann, bis zu einem Drainstrom von maximal 500 mA. Der typische Drain-Source-Widerstand, im eingeschalteten Zustand, beträgt 1.5 Ohm. Die Spannung zwischen Drain und Source beträgt bei diesem Strom jedoch bereits 0.75 V. Dies muss man evtl. berücksichtigen. Mit dem Relais in Bild 1 beträgt der Drainstrom nur 24 mA und dies erzeugt zwischen Drain und Source eine Spannung von nur 36 mV.

HF-Störung unerwünscht: R10 benötigt es grundsätzlich nicht. R10 sorgt jedoch dafür, dass die MOSFET-Schaltung im Augenblick der Schaltflanke nicht zum hochfrequenten Oszillieren angeregt wird. Der Widerstandswert von R10 ist unkritisch. Auch 100 Ohm oder 10 k-Ohm würde funktionieren. Wegen dem extrem hochohmigen Gate-Source-Widerstand könnte man noch einen sehr viel höheren Widerstandswert wählen. Die Schaltgeschwindigkeit kann jedoch u.a. wegen der Gate-Source-Kapazität darunter leiden. Wichtig ist allerdings, dass R10 so nahe wie möglich an das Gate des MOSFET T2 verlötet wird.

Es ist auch möglich ein beliebiger Klein-BJT (bipolarer Transistor) anstelle des N-Kanal-MOSFET für T2 zu verwenden. Es ist dann allerdings zu bedenken, dass ein BJT (z.B. BC550) im geschaltet und gesättigten Zustand nur noch eine Stromverstärkung von etwa 20 bis höchstens 30 hat. Bei einem Relaisspulen-, bzw. BJT-Kollektorstrom (T2) von z.B. 30 mA, wäre dies ein Basisstrom von 1 bis 1.5 mA. Dieser Strom ist für einen gepufferten CMOS-Ausgang, wie dies auf das NAND-Gatter von CD4011B zutrifft, bereits grenzlastig. Die bessere Lösung für T2 ist daher auf jeden Fall der BS170 oder ein anderer gleichwertigen N-Kanal-MOSFET.

IC:B4 wird nicht benötigt. Die beiden Eingänge müssen entweder mit GND oder mit +12 VDC verbunden sein. Warum unbenutzte CMOS-Eingängen nicht offen sein dürfen, liest man im Kapitel "Pullup- und Pulldown-Widerstände an CMOS-Eingängen" im Elektronik-Minikurs:

P-Kanal-Power-MOSFET als Relais-Alternative: Anstelle eines Relais kann man auch einen Leistungs-MOSFET (T3) einsetzen. Diese leistungselektronische Lösung empfiehlt sich stets dann, wenn die Schalthäufigkeit gross ist, weil es keinen Kontanktverschleiss gibt. Dies trifft dann zu, wenn die elektronische Sicherung für Tests oder Experimente eingesetzt wird.

Relais machen Sinn, noch heute: Da hier die Sicherheit von etwas Bestehenden im Fokus steht, erfolgt eine Abschaltung nur im Störfall, und dies sollte ein seltenes Ereignis sein. Also kann man hier dem Relais (Bild 1) den Vorzug zu geben. Es gibt noch ein anderes Argument, das dem Relais den Vorzug verleiht. Dies ist dann gegeben, wenn das elektrische Umfeld (z.B. hohe Spannungen, hohe elektrische Feldstärken) kritisch ist, und man damit rechnen muss, dass es zu einem Drain-Source-Durchbruch von T3 kommen kann und die Drain-Source-Strecke kurzschliesst. Natürlich kann man hier entsprechende Massnahmen treffen, wobei dann wegen dem zusätzlichen Aufwand, doch eher die Wahl des Relais im Fokus steht. Es gibt gute Gründe warum das Relais bis heute nicht ausgestorben ist...

Zur Schaltung: Der P-Kanal-MOSFET DMP4015SK ist nur gerade ein passendes Beispiel. Es können auch andere P-Kanal-MOSFETs mit geeigneten Daten zur Anwendung kommen. Bei der Wahl ist es wichtig, dass der Drain-Source-Widerstand (Rds_on) im eingeschalteten Zustand sehr niederohmig ist. Er sollte in etwa der selben Grössenordnung oder noch besser niederohmiger sein als der Strommess-Widerstand Rs, hier 11 m-Ohm. Um einen solchen MOSFET zu finden, muss man darauf achten, dass die maximal zulässige Drain-Source-Spannung im offenen Zustand des MOSFET nicht zu hoch ist. Beträgt diese Spannung 100 V, dann wird man einen P-Kanal-MOSFET mit einem solch niederigen Rds_on kaum finden.

Ein Blick in das Datenblatt des DMP4015SK (T3) zeigt, dass mit einer Gate-Source-Spannung (Ugs) von 10 V ein Rds_on von typisch 7 m-Ohm und maximal 11 m-Ohm möglich ist. Die Drain-Source-Durchbruchspannung liegt bei 40 V im offenen Schaltzustand. Dies einzuhalten mit einem 24-Volt-Akku oder auch mit einem geregelten Netzteil ist kein Problem. Bei korrekter Dimensionierung genügt auch die Spannungsstabilität einer Schaltung aus Trafo, Gleichrichter und Lade-Elko, weil der Unterschied der Trafo-Sekundärspannung zwischen Leerlauf und Volllast, bei dieser Leistungsklasse, die es benötigt für die Sicherungsschaltung in Bild 2, ist gering. Vorsicht gilt beim Automobilbetrieb, da kurzzeitig recht hohe Spannungen auftreten können. Da müssen spezielle Massnahmen getroffen werden, die hier nicht weiter thematisiert sind. Wenn man sich dafür interessiert, findet man Informatives in diesem Elektronik-Minikurs:

Für T2 kann man ebenfalls den MOSFET BS170 einsetzen, wie in Bild 1. Die maximal zulässige Spannung Ugs von T3 beträgt ±25 V. Das ist knapp für +Ue = 24 VDC. Für die Drain-Source-Sättigung benötigt man maximal Ugs = 10 V. Mit R9 (10 k-Ohm) und der Z-Diode ZD2 mit 12 V, wird Ugs auf 12 VDC begrenzt. R11 parallel zu ZD2 benötigt es, damit der T3-Gate-Anschluss im ausgeschalten Zustand von T2 nicht potentialfrei ist. R11, hier mit 18 k-Ohm, muss so dimensioniert sein, dass Ugs von T3 etwas grösser ist als die Z-Spannung von ZD2, wenn ZD2 nicht im Einsatz ist. Ohne ZD2 beträgt Ugs von T3 im eingeschalteten Zustand von T2 knapp 17 VDC. Mit ZD2 wird nicht nur Ugs auf 12 VDC begrenzt, ZD2 liefert in diesem Zustand auch einen sehr niedrigen Quellen-Widerstand. Dieser unterstützt die Stabilität von T3. Wichtig ist, dass der Knotenpunkt KP1 mit R9, R11 und ZD2 so nahe wie möglich mit dem Gate des T3 verlötet ist. Dies aus dem selben Grund wie bereits für T2 in Bild 1 weiter oben erklärt.

BJT statt MOSFET: In der Schaltung von Bild 2 kann man alternativ für T2 anstelle des N-Kanal-MOSFET BS170 auch einen NPN-BJT, z.B. BC550_oder_BC547 einsetzen. R9 bleibt gleich. Wenn der Ausgang von IC:B3 (Pin 11) auf HIGH (+12V) liegt, beträgt der T2-Basisstrom etwa 0.3 mA. Der T2-Kollektorstrom beträgt etwa 1.2 mA. Die T2-Stromverstärkung von nur 4 ist so niedrig, dass es keine Rolle spielt ob man den A-, B- oder C-Typ für T2 einsetzt.

IC:A mit IC:B, geht das?: Die folgende Überlegung betrifft Bild_1 und Bild_2. Wenn IC:A am Ausgang Pin 6 logisch HIGH ist, liegt die Spannung etwa 2VDC niedriger als +Ube, also etwa 22 VDC. Das macht kein Problem, weil die nachfolgende Schaltung dafür sorgt, dass an Pin 1 von IC:B1 die HIGH-Spannung auf etwa 12.7 VDC (R3, D3, ZD1) begrenzt ist. Diese 12.7 VDC an Pin 1 werden erreicht wenn C1 geladen ist. Das wäre nach etwa der 5-fachen R4*C1-Zeitkonstante von etwa 15 Sekunden der Fall. Diese 0.7 V oberhalb der Betriebsspannung von IC:B1 ist knapp, weil die Worstcasebedinungen liegen gemäss Datenblatt bei 0.5 V. Problematisch kann das aber nur werden, wenn aus irgen einem Grund es zu einem auch nur sehr kurzen Spannungseinbruch der 12 VDC kommen kann. Dabei fliesst von C1 ohne R15 ein Entladestrom in Pin 1 und könnte einen Latchup-Effekt auslösen, trotz IC-interner Schutzschaltung. Die einfache Massnahme mit R15 verhindert dies, weil der Strom von C1 zum Pin 1 dadurch enorm reduziert wird. Es ist der Strom der den Latchup-Effekt auslöst.

Wenn IC:A am Ausgang Pin 6 logisch LOW ist, liegt die Spannung auf etwa +2 VDC. Es stellt sich dabei die Frage ob ein Eingang eines CMOS-NAND-Gatters diese +2 VDC als LOW-Pegel akzeptiert. Man öffne dazu das Datenblatt des Quad-2-Input-NAND-Gatter CD4011 und man betrachte auf Seite 4 "Typical Performance Characteristics" die ersten zwei Diagramme "Typical Transfer Characteristics" für den CD4011B. Die Eingangsspannung bei der es zur Logik-Umschaltung am Ausgang kommt, ist unterschiedlich davon abhängig, ob ein Eingang konstant auf HIGH gesetzt ist (Diagramm 1) oder beide Eingänge mit der Signalquelle verbunden sind (Diagramm 2). In beiden Diagrammen erkennt man, dass die Umschaltspannung deutlich genug entfernt ist von +Ub (Vdd) und GND. Auf jeden Fall, wenn die Betriebsspannung +Ub (Vdd) +10 VDC oder höher ist. Wenn +Ub = 5 VDC, wird es knapp und man muss für IC:A ein rail-to-rail-fähiger Operationsverstärker oder Komparator einsetzen und evtl. ein Teil der Schaltung anders realisieren. Für die vorliegenden Beispielschaltungen in Bild 1 und Bild 2, genügen "normale" Operationsverstärker oder Komparatoren, wobei die Common-Mode-Eingangsspannung bis zu +Ub (Vdd) funktionieren muss, wie man jetzt schon weiss...

In Bild 1 und Bild 2 geht es darum, dass das RS-Flipflop (IC:B1,B2) zuverlässig schalten kann. Dies geschieht jeweils mit dem LOW-Pegel. Mit der Taste EIN ist es klar. Pin 6 von IC:B2 wird kurzzeitig mit GND verbunden. An Pin 1 von IC:B1 ist es anders. C1 wird über R5, P2 und D1 via Pin 6 von IC:A rasch entladen (Trägheits-Funktion). Die Restentladung erfolgt zusätzlich von C1 über R4 und R3 ebenfalls via Pin 6 von IC:A. Pin 2 von IC:B1 liegt in diesem Moment auf HIGH. So erzeugt bei einer Betriebsspannung von IC:B von nur 10 VDC statt 12 VDC bei einer halbwegs Entladespannung von C1 beim Unterschreiten von etwa 6 VDC den Reset beim RS-Flipflop (IC:B) und MOSFET T3 schaltet aus.Betrachten wir dazu das vergrösserte Diagramm 1 aus dem Datenblatt des CD4011 (IC:B) auf Seite 4. Diese Abschaltung funktioniert sicher.

Es stellt sich noch die Frage, darf man denn einen digitalen CMOS-Eingang überhaupt mit einer langsam sich ändernden Spannung steuern. Im Prinzip nein, ausser der digitale Eingang hat Schmitt-Trigger-Eigenschaft. In der vorliegenden Situation ist es jedoch zulässig, weil die langsam sinkende Spannung durch die kreuzweise Rückkopplung eh den erwünschten Schaltvorgang (Kippvorgang) auslöst. Trotzdem, wenn der Ausgang eines solchen RS-Flipflops nachträglich eine flankensensitive Schaltung (Counter, Schieberregister) ansteuert, kann es zu falschen Resultaten kommen, weil u.U. durch das sehr kurzzeitige Oszillieren des NAND-Gatter im Moment des Schaltens wirksam sein könnte. In so einem Fall müsste man anstelle des CD4011B den CD4093B einsetzen. Die Schmitt-Trigger-Version des CD4093B hätte hier den geringfügigen Nachteil, dass wegen der Hysterese der LOW-Triggerpegel anstelle von 6 VDC etwa bei minimal 3.8 VDC liegt. Ich habe dies nicht getestet, es könnte aber gerade noch funktionieren. Fazit, man kann auf diese gewisse Unsicherheit verzichten, wenn man den CD4011B einsetzt.

Alternativ mit Bandgap-Referenzspannung: Teilbild 3.1 wiederholt die Stromsensor-Schaltung von Bild 1 und Bild 2 auf der Grundlage von Konstantstromquelle und Widerstand (Trimmpot P1). Teilbild 3.2 zeigt die Alternative mittels Bandgap-Referenzspannung von 1.2 VDC, erzeugt mit LM385-1.2. Diese Schaltung ist einfacher und erst noch stabiler in Bezug auf den Einfluss der Temperatur, falls es darauf ankommen sollte. Da stellt sich die Frage, warum dann den "Umweg" mit der Stromquellenschaltung. Einfacher Grund, es ist eine praktische Anwendung zum Elektronik-Minikurs bei dem es um die transistorisierte_Konstantstromquelle geht. In diesem Zusammenhang empfehlenswert ist das Kapitel "Die Transistor-LED-Präzisions-Konstanstromquelle." Dazu kommt, dass der Leser für seine praktische Anwendung selbst entscheiden kann, was er einsetzen möchte. Spielt die Präzision eine nicht so grosse Rolle, was bei einer elektronischen Sicherung in der Regel auch der Fall ist, kann man locker zu Transistor (BJT) und LED greifen. Erst recht dann, wenn man keine Bandgap-Referenzen an Lager hat, jedoch rote LEDs, BJTs und Widerstände in grosser Zahl, weil man diese Teile häufiger braucht.

Zur Schaltung in Teilbild 3.2: Anstelle einen konstanten Stromes, der durch einen variablen Widerstand (Trimmpot P1) fliesst und so eine stabile einstellbare Spannung erzeugt, wirkt hier eine hochstabile Spannungsquelle BG die nach dem Bandgap-Prinzip arbeitet. Es gibt diverse ICs dieser Art.

Eine Empfehlung ist der LM385-1.2. Der LM385-1.2 wird wie eine Zener-Diode beschaltet. Die Referenzspannung beträgt nominal 1.235 VDC mit einer Toleranz von ±1 %. Für die Anwendung in Teilbild 3.2 spielt die Spannungstoleranz keine Rolle, weil die effektive Referenzspannung mit P1 auf 110 mV kalibriert wird. Wichtiger ist die Temperaturstabilität von typisch 20 ppm/K. Der minimale Strom beträgt 15 µA, deshalb ist der LM385-1.2 auch für Batterieanwendungen geeignet. Hier liegt der Strom bei knapp 1 mA (+Ue = 24 VDC). Der dynamische Widerstand beträgt maximal 1.5 Ohm. R2 plus P1 erzeugen keine nennenswerte Belastung. Der Strom liegt bei etwa 0.2 mA. Da hier mit BG eine deutlich höhere Stabilität gewährleistet ist, empfiehlt sich ein Bi-FET-Operationsverstärker zum Einsatz, weil die Eingänge deutlich hochohmiger und die Biasströme vernachlässigbar niedrig sind. Beispiele dafür sind der LF356, TL071 oder TL081.

Vollständigkeitshalber sei noch erwähnt, es gibt auch den LM385-2.5 mit 2.5 VDC und den mit frei dimensionierbarer Referenzspannung LM385. Man beachte hier die reichhaltigen Application-Notes.


Hochsicherheits-Netzteil: So etwas macht Sinn um teure Schaltungen, bzw. ICs zu testen, wobei möglichst nichts zerstört werden darf, wenn z.B. wegen eines Latchup-Effektes ein zu hoher Strom auftreten würde. Oder auch Überlastung oder Kurzschluss durch Fehlmanipulation, dürfen möglichst keine negativen Folgen nach sich ziehen. Ich erwähne dies hier, weil es in gewisser Weise zum Thema passt, - eine flinke Überstromabschaltung. Hier der Link:



Thomas Schaerer, 23.10.2000 ; 26.06.2002 ; 15.10.2002 ; 14.03.2003(dasELKO) ; 21.12.2003 ; 19.11.2004 ; 18.05.2006 ; 26.01.2018 ; 27.06.2018